[关闭]
@qinian 2020-07-26T15:08:38.000000Z 字数 639 阅读 614

uvm学习(2)

uvm


  1. interface定义
    interface的连接:

  2. 定义的interface信号,在top中跟dut信号相连。

  3. 通过uvm_config_db向内部传参,interface类型的数据可以看成一种特殊的数据类型。
  4. 传参格式uvm_config_db#(virtual my_if)::set(this,"env.agent.driver","vif",input_if),传参类型是virtual my_if类型,传参要指定传参的路径,this表示当前的模块,路径是uvm_top.env.agent.driver,传参具体对象是在该模块下vifvif类型input_if一样,传入input_if
    收参格式uvm_config_db#(virtual my_if)::get(this, "", "vif", vif),收参不需要地址,收到的参数"vif",放到本地设置vif中。

上面传的是interface类型的参数,如何传递int型的参数?
例如:uvm_config_db#(int)::set(this,"env.agent.driver","tests",10),要将int型的10传入到env.agent.driver下的变量test中,当然这个变量也是int型的
那么在driver中如何接收?
uvm_config_db#(int)::get(null,"","tests",test),将接受的变量传入到driver中定义的test中,完成对该变量的接收。

添加新批注
在作者公开此批注前,只有你和作者可见。
回复批注